.

 首页 > 英语词汇大全 > 电子电工英文词汇

电子电工英文词汇翻译s

时间:2010-06-13
S parameter
S 参数
sampling digitizer
取样数字转换器
sampling probe function
取样探测功能
Sampo Corporation
声宝企业
sand cloth
砂布
sand filter
砂砾过滤器
sand paper
砂纸
sanding disc
金刚砂研磨盘
satellite dish
卫星接收器;卫星天线;小耳朵
Satellite Speaker
卫星扬声器
satellite tracking
卫星导航
Sato etching
佐藤蚀刻
saucer pit defect
碟状坑缺陷
saw mark
锯痕
saw wire
线锯钢线
SBM Sensitivity
扬声器之灵敏度
scan bus method
扫描总线法
scan path method
扫描路径法
scan path test
扫描路径试验
scanned beam current
扫描波束电流
scanning acoustic tomograph
超音波断层扫描摄影装置
scanning Auger electron microscope
扫描型奥格电子显微镜
scanning electron microscope
扫描型电子显微镜
scanning function
扫描功能
scanning projection aligner
扫描型投影对准曝光器
scanning transmission electron microscope
扫描透射型电子显微镜
Scanning Tunneling Microscope, STM
扫描穿隧显微镜
scanning tunneling microscopy
扫描隧道型显微镜学
scavenger
换气管
schematic editor
简图编辑器
Schottky
萧特基
Schottky Barrier Diodes
萧特基二极管
Schottky Diodes
萧特基二极管
Scope Probe
普通示波器探棒
scratch
划痕,擦伤
screening
筛选
scribing
划片,划割,划线
scrubbing
揉擦适应
scum
浮渣
SDH
同步数字架构传输网络
sea of gate
标准闸门电子组件
seam
缝口
search level
搜抹速度
search speed
焊接工具保持部下降量,搭接深度
seasoning
风干处理
Secco etching
射哥蚀刻
second bond off
第二接合点剥离
second bonding
第二接合,第二压接
secondary battery
二次电池
secondary cell
蓄电池;二次电池
secondary coil
次级线圈
secondary electron image survey function
二次电子影像观测功能
secondary ion mass spectroscopy
二次离子质谱学
secondary lithium battery
二次锂电池
secondary playing area
次要竞赛区
security camera
监视摄影机
see saw type wire saw
交互转换式线锯
seed chuck
籽晶夹头
seed crystal
籽晶
seed cut
种子棒切割
seed lift rate
籽晶升降速率
seed lift travel
籽晶升降行程
seed rotation rate
籽晶旋转速率
seed shaft
籽晶轴
segregation
偏析
Selective diffusion
定区扩散法
self bias
自给偏压
self diagnostic function
自我诊断功能
self-aligned contact etching
自我对准接解孔蚀刻
semi full cutting
半全切割
Semiconductor
半导体
semiconductor bonding wafer
半导体接合晶圆
Semiconductors
半导体
semicustom IC
客户半定制IC
sender
发送机
sense circuit
传感电路
separate feeding
隔开输送
separation by implantation of oxygen SOI wafer
SIMOX SOI 晶圆
sequential damper
时序风门,时序排气器
sequential pattern generator
序列图案产生器
series active power filter (SAPF)
串联型主动式电力滤波器
setting length of tool
压接头至超音波叭头之设定长度
sewing machine
缝纫机
shallow pit defect
浅坑缺陷
shape of beam
光束形状
shearing mode/failure mode
剪切模式/故障模式
shielding angle (of a luminaire)
灯具遮蔽角
Shock test
冲震试验
Short Circuit
短路
shot
每次之曝光照射,镜头
shoulder
肩部
shoulder angle
过肩角
Shut off Valve
关断阀
shutter
快门光闸
Si Silicon
side etching
侧面蚀刻
sidewall protection layer
侧壁保护层
Sight Glass
窗口
sign off
签字保证
sign off simulator
签字保证仿真器
signal strength
信号强度
Silicon
硅元素
silicon compiler
硅晶自动编辑器
silicon ingot
硅晶锭
silicon oxynitride
氮氧硅石
Silicon-controlled rectifier
硅控整流器
Silicon-controlled switch
硅控开关
silicone chip
硅芯片
silk screening
丝网法
silt density index(SDI)
淤泥密度指针(SDI)
silver oxide battery
银氧化物电池
silver zinc battery
银锌电池
silylization system
甲硅烷基化处理系统
simple
凹坑,表面微凹
simulated annealing
模拟退火
Simulator
仿真器
single cassette rotor
单个套装匣转子
single crystal
单晶体
single electron transistor (SET)
单电子晶体管
single point boding
单端子接合
single point TAB bonding tool
单点TAB接合工具
single side lapping machine
单面磨光机
single side polishing machine
单面抛光机
single station cleaning equipment
单站洗涤装置
single wafer processing
单晶圆处理方式
single wafer processing cleaner
单晶圆加工洗涤机
single wall carbon nanotube (SWNT)
单壁碳奈米管
Single-end input
单端输入
singulation/separate
分离
sinter
热压法,烧结
Sinusoidal steady state
弦波稳态电路
SiO2 film fluorine doped silicon dioxide
掺杂氟素二氧化硅膜
Sirtl etching
沙特蚀刻
sit array
区分地段数组
site
区分地段,划分地段
site array
区分地段数组
site flatness
区分地段平面度
site FPD
区分地段焦点平面偏差
site size
区分地段大小
site TIR
区分地段总指示器读数
skew
相位差,时间偏差
skip measurement
跳越测试
sky wave
天波
Slew Rate
转换率
sliced wafer
已切割晶圆
slicing machine
切割机
sliding mode controller
滑动模式控制器
slip
滑动
slip plane
滑动面
slow pumping/slow roughing
缓慢排气
slow vent
缓慢通气
sludge removal system
淤泥排除系统
slurry
泥状研磨剂
slurry separator
研磨剂分离器
Smart Card
智慧卡
smart model
精灵模型
SMD
焊锡膏,导电银漆笔
SMD / SMT
表面黏着用电子零件、修护工具
SMD Surface Mount Type
表面黏着式
smear test
画素之电荷流量试验
smoothing filter
平坦滤波器
snap cure
快速硬化
soak time
热炼时间
SOC System On a Chip
系统单芯片
socket board
插座基板
socket type contract
插座型接触
SOD
SO二极管
soft landing
软性着陆
soft macro cell
软性宏功能电路胞
soft roughmg
软性排气
soft vent
软性通气
soft x-ray
软X光
soft-bake
软性烘烤处理
software error
软件错误
SOG spin on glass coating
旋转涂布玻璃
SOI Silicon on Insulator
绝缘层上覆硅
solder bonding
焊剂接合
Solder Cream Circuit Writer
防磁盾 隔电磁波涂剂
solder dip test system
浸焊测试系统
solder dipping machine
浸焊剂装置
solder plating machine
镀焊装置
solder sealing equipment
焊料密封装置
Soldering / Desoldering Tools
焊接、拆焊工具材料
solid phase epitaxial growth system
固相磊晶生长系统
Solid State Electronics
固态电子
solid vaporizer
固体蒸发源
solidification ratio
固化比率
Soot Blower
吹灰器
sori
弯度
Sori control system
弯度控制系统
sorter
分类器
Source
源极
source cabinet
瓦斯源系统收纳室
source code compiler
原始码编译器
space lattice
空间晶格;空间点阵
spacer
间隔片
spare row/column
备用行/列
spark gap
火炬放电距离
spatial filtering
空间滤光
spin cap
自旋帽罩
spin chuck
旋转夹头`
spin cleaner
自旋洗涤器
spin coater
自旋式涂敷机
spin Coating
旋转涂布
spin developer
自旋显影机
spin dryer
自旋干燥机
spin on glass coating
SOG涂敷
spindle flange
凸缘轴
Spindle Motor Control
主轴马达控制电路
SPL Spontaneous Twin Drive
自动双驱动
splash back
溅射回来
splash guard
防溅罩
spotlight
聚光灯
spray developer
喷涂显影机
spray etching system
喷涂式蚀刻系统
spreading resistance method
扩散电阻法
sputter etching
溅射蚀刻
sputter-gun sputtering system
溅射溅镀系统
Sputtering
阴极喷镀法
sputtering rate
溅镀速率
sputtering system
溅镀系统
sputtering yield
溅射二次放射系数
square cutting
方形切割
squashed ball diameter
压扁球径
SRAM
静态内存
stabilizing circuit
稳定电路
Stabistor
稳阻器
stacker
堆栈机
stacking fault
迭层缺陷
stack。stack capacitor
堆栈式电容
Stainless Steel Armored Cord
不锈钢仪表线路配线软管
stamper
冲压模,压模机
stamping head
印模头,冲压模头
stand-off
托脚、底座间隙保持器
standard cell
标准功能电路胞
standard delay format
标准延迟格式
Standard logic IC
标准逻辑IC
standard parasitic exchange format
标准寄生交换格式
standing wave effect
驻波效应
state
状态
state diagram
状态图
state transition graph
状态过渡图
static burn-in system
静态老化测试系统
static electricity tester
静电测试器
static eliminator
静电消除器
static functional test
静态功能试验
static pressure bearing
静压轴承
static timing analysis tool
静态时序分析工具
Staturation region
饱和区
Steam Turbine
汽轮机
step and scan projection aligner
步进扫描投影对准曝光器
step coverage
阶跃式覆盖率
step etching
阶段性蚀刻
step junction
步级式结合
step pith
步进节距
Step Response
步级响应
Step-graded
步阶式
stepper
步进机
stepping projection aligner
步进式投影对准曝光器
stepping X-ray aligner
步进式x线对准曝光器
stimulus
刺激源、信号数据群
stitch bonding
针脚式接合,打线不黏
stock removal polishing
一次抛光
stocker
暂存盒
Storage time
储存时间
Store
stray light
杂散光
stream format
注流格式
streaming current monitor
流动电流监视器
street
界道,切割道
stress migration test
应力迁移试验
stretch and squeeze
拉伸与挤压
striation
生长条纹
strobe
选通信号
stroboscopic imaging
频闪影像法
structural description language
结构记述语言
structure
结构
sub master
吸附盘
sub runner
树脂次流道
sub-wavelength grating
次波长光栅
subjective brightness
主亮度
Substrate
基板
substrate cooling mechanism
基片冷却机构
Subwoofer
重低音喇叭
suck back
吸回
sulfuric acid hydrogen peroxide cleaning
硫酸过氧化氢洗涤
summary data
摘要数据
sun gear
太阳齿轮
super accelerating life test
超加速寿命测试
super buffer memory
超级缓冲记忆器
Super Density Heads
超密度磁头
super final polishing
终极加工抛光
Super Heater
过热器
super integration
超积体
supplementary lighting
补助照明
surface & cylindrical double
表面圆筒(参)头研磨机
surface & cylindrical grinding machine
表面圆筒研磨机
surface cleanliness
表面洁净度
surface contamination
表面污染
surface mount technology (SMT)
表面黏着技术
surface mounted luminaire
平面安装灯具
surface photovoltaic method
表面光电压法
surface scanner
表面缺陷扫描仪
Surface tension
表面张力
surface wave plasma
表面波等离子体
surfactant added cleaning chemical
添加表面活化洗涤药品
susceptor
承受器
sweep measurement
扫描测量
swift start up system
快速启动系统
swing curve effect
摆动曲线效应
swirl
旋涡纹
Switch
开关
Switch Diodes
交换二极管
switch level description
开关位阶记述
Switching Power Supply
交换式直流电源
switching series regulator (SSR)
交换串联整流
symbol
符号
symbol library
符号数据库
symbolic editor
符号编辑器
synchronized drive
同步驱动
synchronous design
同步设计
synchrotron radiation aligner
同步加速器放射线对准曝光器
Synthesis
合成器
system core
系统核心
system design
系统设计
System integration
系统整合
system macro
系统宏
System on chip
系统芯片
System on silicon
系统硅芯片

A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

载入中…
分享到:

.

条评论

昵称: 需审核请等待!

密码: 匿名发表

验证码:

.